Poster Program

DPS 2015 » Poster Program

Poster Session (Friday, Nov. 6)

Presentation guideline

Poster session is divided into two halves in order to prevent congestion.

At least one of the authors must stay in front of the poster during the assigned Core-Time:

(1) 11:00 -11:50    Odd number

(2) 11:50 -12:40    Even number

Etching Technology

†P-1   T. Hayashi

Chemical properties of gases used in highly selective etching of Si3N4

‡P-2   K. C. Yang

Etch Residue Removal Process of Magnetic Tunneling Junctions Materials using a Reactive Ion Beam Etching

†P-3   S. H. Ha

Laser Texturing Process of Silicon Wafer for Solar Cell

‡P-4   Hu Li

Surface modification of transparent conducting oxides by hydrogen during methane-based plasma etching

†P-67   Y. Sonoda

Atomic Level Etching Technology on Microwave ECR Plasma

Surface Reaction and Damage

†P-5   A. J. K. T. Soriano

Optimization of solderability enhancement process of copper sheet with Sn-Ag-Cu Alloy by atmospheric microwave plasma jet (AMPJ)

‡P-6   K. Eriguchi

Conflicting evidence of plasma-induced charging damage in high-k dielectric lifetime prediction – A model for extended reliability lifetime

†P-7   Z. Liu

Low plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures

‡P-8   M. Sasaki

Analysis of BN doped ZnO thin film by Combinatorial Optimization Crystal Orientation for Tribology Technique

†P-9   D. Ogawa

Damage Monitoring of Gallium Nitride Film that is exposed in Process Plasma

‡P-10   Y. Fukunaga

Wafer temperature dependence of organic film etch reaction in H2/N2 plasma

†P-11   M. R. Vasquez Jr.

Plasma treatment of spray-pyrolized copper oxide thin films on glas

‡P-12   AG Cuevas

Effects of plasma treatment on surface functionalization of polyimide substrates and its graphite adherence capacity

†P-13   R.A. Inojosa

SEM analysis of plasma-treated spray-coated carbon layers on polyimide substrates

‡P-14   J. Chan

Nitrogen Plasma Modification of Spray Deposited Graphene from Liquid Phase Exfoliation of Graphite

Plasma Diagnostics and Monitoring System

†P-15   G. J. Park

Bias Voltage measurements in Pulsed Dual-frequency CCP plasma

‡P-16   Y. Hayashi

Monitoring and Analyses of Substrate Surface in First Stages of Graphene Growth by Plasma Enhances Chemical Vapor Deposition

†P-17   S. Nunomura

In-situ monitoring of carrier transport and trapping in amorphous semiconductors under plasma processing

‡P-18   S. J. Hong

High-Speed Real-Time Plasma Discharge Monitoring

†P-19   J. Chen

The influence of adding alcohol to atmospheric pressure plasma

‡P-68   H. Akatsuka

Approximate Monitoring of Electron Temperature and Density of Argon-Based Plasma by Line-Intensity Ratio Measurement with Collisional Radiative Model

Modeling and Simulation

‡P-20   K. Saito

Three-dimensional simulation of a low-power microwave-excited microstrip plasma source

†P-21   S. Mohr

Linking reactor-scale plasma modelling with feature-scale profile simulation

‡P-22   Y. J. Lee

A comparative study of 0D and 3D simulation of large area inductively coupled plasma for display device manufacturing

†P-23   Y. D. Jeong

Two dimensional Fluid Simulation of Capacitively Coupled Plasma for Display Device Process

‡P-24   A. Ui

A study of generation of obliquely incident ions

†P-25   K.-L. Chen

Development of Fluid Model for Gas Discharges Considering Full Ion Momentum Equations

†P-69   Y. Murakami

Molecular dynamics simulation of Si and SiO2 physical sputtering: sputtering yield evaluation at high energy

‡P-70   M. Isobe

Reactive Potential Design for Si Etching with Halogen Ions

Plasma Generation(Equipment/Source)

‡P-26   K.-C Chen

Mechanism of a VHF H2 plasma production at high pressures

†P-27   S. Koga

Experimental Verification of the Importance of Slot Position in Microwave Plasma Production with Slot Antenna Array

‡P-28   M. Uemura

Radial distribution control of microwave-excited plasma by continuous pulsed operation in a dual power sources system

†P-29   S. Mishima

Research on reduction of electron energy of microwave plasma using pulsed operation

‡P-30   S.M. Lee

Study of Plasma Characteristics using Superimposed Dual Frequency ICP (Inductively Coupled Plasma) Source

CVD/PVD/ALD

†P-31   H. Ito

Fabrication of Hydrogenated Amorphous Silicon Carbide Films from Decomposition of Hexamethyldisilane with Microwave Discharge Flow of Ar

‡P-32   R. Kawakami

Anatase TiO2 Thin Films Grown by Facing-Target Reactive Sputtering and Its Impact on Photocatalytic Activity

†P-33   H. Iwata

Development of low damage sputtering cathode and evaluation of its performance

‡P-34   K. Akiyama

MOCVD growth of β-FeSi2 on Si via vapor-liquid-solid method using Au-Si liquids phase

†P-35   K. Koga

Effects of ion energy on chemical bond configuration in a-C:H deposited using Ar + H2+ C7H8 plasma CVD

‡P-36   K. Ichikawa

High-quality bilayer graphene was synthesized by low concentration of hydrogen gas in nitrogen/hydrogen gas mixture during temperature rising period in chemical vapor deposition

†P-37   N. Promros

Epitaxial Growth of β-FeSi2 Thin Films on Si(111) Substrates by Radio Frequency Magnetron Sputtering and Their Application to Near-Infrared Photodetection

‡P-38   H. Sugiura

Effects of ion irradiation on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition

†P-39   C. Takeshita

Silicon Nanowire Growth on Si and SiO2 Substrates by Plasma Sputtering

‡P-40   T. Mori

Silver thin film on quartz substrate for single-crystalline nanopillar

†P-41   M. Shimabayashi

Synthesis of nitride layer by irradiating a remote nitrogen plasma onto 4H-SiC at a low temperature

Plasma Processes for 3D Device, FPD, Photovoltaic Devices

†P-43   K. Sasaki

Deposition of crystalline CZTS films using high-pressure magnetron sputtering plasmas

‡P-44   J. Jung

Back side etching process for enhancing light trapping capacity and electrical properties of micromorph tandem solar cells

P-45   S. Q. Hussain

Effect of duty cycle on the TCO/a-Si:H(p) interface for high performance of silicon heterojunction solar cells

P-46   S.Kim

Effect of hydrogen gas ratio on the characteristics of pulsed DC magnetron sputtered ITO film for a-Si/c-Si heterojunction solar cells

P-47   M. Tomatsu

Fabricated of Antireflecctive Nanostructures Using Carbon Nanowalls as Etch Mask

Plasma Processes for New Material Devices (MRAM, Power, Organic)

P-42   M. Goto

Development of high performance ball bearings by using crystal preferred oriented ZnO coatings

‡P-48   K. S. Kim

Cleaning process applicable to next generation graphene devices

†P-49   S. Lee

The Rectifying Performance of Silicon Carbide Schottky Barrier Diodes with Bilayer Schottky Metal Structure

‡P-50   L. Wang

Investigation on recess processing on AlGaN/GaN heterostructure field-effect transistors

†P-51   K. Jang

Charge storage capabilities of (a/nc) Si embedded in SiOx matrix and the influence of tunneling layer thickness of SiO2/(a/nc)Si-SiOx/SiOxNy stack on the memory performances of MIS structure

‡P-52   G. J. Han

Transparent Conductive Properties of GAZO Film Prepared by Facing Targets Sputtering

Plasma Processes for Biological and Medical Application, MEMS

†P-53   K. Hayashida

Basic study of transdermal absorption enhancement by using Atmospheric Microplasma

‡P-54   H. Hamasaki

Characteristics of Protein Decomposition by Active Species in Oxygen Plasma

†P-55   R. Ono

Inactivation of Bacteria on Plant Seeds by Low-Pressure RF Oxygen Plasma

‡P-56   Y. Sakai

Sterilization Performance and Material Compatibility of Dental Sterilizer using RF Oxygen Plasma

†P-57   J.-S. Wu

A Portable Atmospheric-Pressure Plasma Jet Device and Its Biomedical Application

†P-71   K. Gotoh

Surface Modification of Polystyrene Cell Culture Plates by Nitrogen-Hydrogen Plasma Irradiation

Atmospheric Pressure Plasma and Liquid Plasma

‡P-58   H. Akamatsu

Surface modification of PET film by broad type atmospheric pressure cold plasma jet

†P-59   M. K. Mun

Roughening of a flexible substrate for inkjet printing with graphene flake mask using near-atmospheric pressure plasma

‡P-60   T. Amano

Interactions between spin trapping reagents and non-thermal air DBD plasmas

†P-61   T. Shirafuji

Time-resolved OES on Argon DBD with a Water Electrode -Experimental Results and Numerical Simulation-

‡P-62   Y. Suzaki

Fabrication of Antifouling Transparent ZnO Thin Films by Atmospheric Pressure Cold Plasma Deposition

†P-63   J.-S. Oh

Production Efficiency of RONS in Plasma Activated Water by Using Micro-sized Atmospheric-Pressure Plasma Jet

New Dry Process Concepts

‡P-64   S. Yoshimura

Fragmention production from hexamethyldisilane in a Freeman-type ion source for SiC film Formation

†P-65   H. Itagaki

A low power nitriding technique utilizing a microwave excited radical flow

‡P-66   M. Munkhzul

Differential pumping structure of a Roll-to-Roll method manufacturing system for Thin-Film Transistor

‡P-72   M. K. Ramos

Thermal oxidation of copper films deposited via vacuum evaporation technique