Poster Program

DPS 2016 » Poster Program

Poster Session (Tuesday, Nov. 22)

Presentation guideline

Poster session is divided into two halves in order to prevent congestion.

At least one of the authors must stay in front of the poster during the assigned Core-Time:

Core-time (1) 10:10 -11:00    Odd number

Core-time (2) 11:00 -11:50    Even number

Etching Technology

†P-1   H. Omori

Etching Property of C3HXF(6-X)

‡P-2   P. Shen

Silicon etching with reduced RIE-lag

†P-3   E. Laudrel

Chlorine-based plasma deep etching of bulk titanium

‡P-4   T. Kawahara

Plasma process for the improvements of device characteristics for self-aligned nano-carbon FETs

†P-5   L. Hsu

Tri-layer metal hard mask etch defect control by a novel molecule radical during in-situ chamber clean

‡P-6   T. Tillocher

Enhanced reproducibility of SiO2 Atomic Layer Etching by addition of O2 steps

†P-7   K.C. Yang

Etch characteristics of SiO2 using pulsed capacitively coupled plasmas

‡P-8   T. Hayashi

Unveiling aspects of alternative refrigerants involving HFO-1234ze from computational chemistry

†P-9   B. J. Lee

Etching Characteristics and Mechanisms of MoS2 in Cl2/Ar Inductively Coupled Plasma

‡P-10   J.Y. Lee

Study on Etch Characteristics of CoFeB thin films with Nanometer-Sized Patterns using Pulse-Time Modulated Plasma

†P-11   S. M. Hwang

Etch characteristics comparison of nanometer scale TiN hard masked MTJ stacks etched in a constant wave and pulse modulated plasma

‡P-12   H. Li

Mechanisms of chemically enhanced etching of ZnO by hydrocarbon plasma

Manufacturing Technology (AEC, APC, EES, FDC)

†P-13   Y. Kasashima

Development and evaluation of magnesium oxide based ceramics for chamber parts in mass-production plasma etching equipment

†P-15   S. J. Hong

Improved In-Situ Plasma Chamber Monitoring Performance in Self Plasma-Optical Emission Spectroscopy

Surface Reaction and Damage

‡P-16   Y. Nakano

Electrical damage in n-GaN films treated by CF4 plasma

†P-17   G. Kuroda

The outermost surface of Si observed in Ar/CF4 plasma etching

‡P-18   T. Tsunoura

Fabrication and fluorine plasma irradiation behavior of YOF ceramics

†P-19   T. Takami

Plasma surface process of cubic boron nitride studied by using microscopic single crystals

‡P-20   K. Nishida

An optical model for in-line analysis of plasma-induced interlayer dielectric damage

†P-21   T. Higuchi

Defect profiling of plasma-damaged layer by surface-controlled photoreflectance spectroscopy

‡P-22   Y. Banno

Damage Evolution of Gallium Nitride in Argon-Chlorine Plasma

†P-23   J. Lee

A comparative study of fluorocarbon etching residue formation by CF4/C4F8/Ar and CF4/CH2F2/Ar plasmas

‡P-24   K. Karahashi

Surface reactions of amorphous carbon layers by argon and fluorocarbon ion beams

Plasma Diagnostics and Monitoring System

†P-25   D. Ogawa

Analysis of miniaturized curling probe

‡P-26   J-S Oh

Ambient Mass Spectrometric Investigation of Atomic Oxygen Radicals in Afterglow Plasma

†P-27   J-S Oh

Investigating High Quality Ozone Generation using UV Absorption Spectroscopy and Ambient Mass Spectrometry

‡P-28   H. Toyoda

Evaluation of O- Ion Flux in a VHF-DC Magnetron Plasma under ITO Sputtering Process

Modeling and Simulation

†P-29   R. Sugano

Molecular dynamics simulation of surface reactions in atomic layer etching of Si3N4

‡P-30   H. Tan

Spectroscopic Study on Chemical Kinetics of NO (A, B, C) States in Low- pressure N2-O2 Microwave Discharge

†P-31   S. Kawaguchi

Electron collision cross section set of SiF4 gas

‡P-32   P. Moroz

Feature Profile Simulation of Silicon Nitride Atomic Layer Deposition

†P-33   L. Tong

Numerical investigation of an atmospheric pressure RF dielectric-barrier discharge operating in the α and γ modes

‡P-34   S. Rahimi

Constructing self-consistent validated plasma chemistry

Plasma Generation (Equipment/Source)

†P-35   T. Tsuchiya

Generation of High Pressure Laser Sustained  Argon Plasma using CW Diode Laser

‡P-36   K. Nishimoto

New technique for large-area plasma generation using double-ridge waveguide

CVD/PVD/ALD

‡P-38   C. Huang

Surface Characterization of the Organosilicon Films by Low Temperature Atmospheric-Pressure Plasma Jet

†P-39   H. Kawasaki

Preparation of several kinds of elements mixed thin films by plasma process using powder targets

‡P-40   T. Betsuin

Monocrystalline Diamond Growth by Irradiation of Ar Inductively Coupled Thermal Plasma with CH4/H2 Gas Injection near the Substrate

†P-41   Y. Setsuhara

Combinatorial characterization of a-IGZO film properties deposited with ICP-enhanced reactive sputtering

‡P-42   H. Suzuki

Plasma-enhanced chemical vapor deposition of SiO2 films by slot type microwave plasma with O2/TEOS

†P-43   Y. Suda

Preparation of functional thin films by backside irradiation pulsed laser deposition using powder targets

‡P-44   S-W Han

Growth of ZnO Nanorods and films on SrTiO3 and LaAlO3 Substrates

‡P-46   A. Nopparuchikun

C-V-f and G-V-f Characteristics of n-Type β-FeSi2/p-Type Si Heterojunctions Fabricated by Using Radio Frequency Magnetron Sputtering

†P-47   K. Akiyama

MOCVD growth of photoluminescent iron disilicide via vapor-liquid-solid method using Au-Si liquids phase

‡P-48   S. Takai

Growth of InN at a low-temperature by radical enhanced chemical vapor deposition

†P-49   M. Tomatsu

Hydrogen peroxide sensor based on carbon nanowalls grown by plasma enhanced chemical vapor deposition

‡P-50   K. Hattori

Influence of substrate bias voltage on heat influx to substrate in high power impulse magnetron sputtering

†P-51   Y. Matsuda

Uniform deposition of Al-doped ZnO films on off-axis inclined substrates by using RF magnetron sputtering

Plasma Processes for New Material Devices (MRAM, Power, Organic)

‡P-52   S. W. Park

Magnetic tunneling junction material etching using He

†P-53   K. Matsuo

High-accuracy etching process of polyimide for organic film devices using ICP system

‡P-54   T. Ohshima

The proton conducting single chamber SOFC prepared by RF magnetron sputteringC

†P-69   K. Hosomi

Plasma-induced Improvement in Organic Vapor Sensitivity of Tarnished Ag Nanoparticles

Plasma Processes for Biological and Medical Application, MEMS

†P-55   R. Nakano

Inheritance of plant growth enhancement effect induced by active species in oxygen plasma

‡P-56   Y. Fukuhara

Freshness keeping and antioxidative activity of agricultural products irradiated by atmospheric air plasma

†P-57   K. Mine

Inactivation effect of active species generated by DBD on oral cancer cell

‡P-58   T. Yamada

Treatment of hard-degradable protein using low-pressure RF oxygen plasma

†P-59   S. Miura

Sterilization characteristics of catheter interior of narrow tube using oxygen RF plasma

‡P-60   K. Matsumoto

Plant growth enhancement using active species in water plasma

†P-61   A.F.M.Mella

Surface properties of PVC endotracheal tubes by argon plasma etching

Atmospheric Pressure Plasma and Liquid Plasma

‡P-62  T. Kitano

Spectroscopic Investigations in Photoresist Removal Process using Microwave Plasma in Water Vapor

†P-63   H. Akamatsu

Dispersion of zinc oxide fine particles in liquid with atmospheric pressure plasma jet irradiation

‡P-64   M. Shinohara

Infrared spectroscopic study of the reactions between an octadecyltrichlorosilane self-assembled monolayer and plasma generated in H2O2 solution

†P-65   M. Mardis

Synthesis Metal-Carbon Nanoparticles by Laser Ablation under Pressurized CO2

‡P-66   T. Shirafuji

Reaction mechanisms of methylene-blue degradation in three-dimensionally integrated micro solution plasma

†P-67   K. Okamura

One-dimensional simulation of atmospheric-pressure dc glow discharge in He/H2O

New Dry Process Concepts

‡P-68   H. Yamamoto

Fabrication of High Aspect Ratio Nanostructure by Using ClF3-Ar Neutral Cluster Etching