Poster Program

DPS 2017 » Poster Program

Poster Session (Friday, Nov. 17)

Presentation guideline

Poster session is divided into two halves in order to prevent congestion.

At least one of the authors must stay in front of the poster during the assigned Core-Time:

Core-time (1) 11:10 - 12:00    Odd number

Core-time (2) 12:00 - 12:50    Even number

Etching Technology

†P-1   E. Prevost

Study of very high selective downstream plasma etching mechanisms, for high aspect ratio patterns

‡P-2   H. S. Lee

A study on the etch characteristics and environmental effects of Ar/C3F6O gas chemistry

†P-3   T. Hayashi

Dissociative properties of 1,1,1,2-tetrafluoroethane (HFC-134a) obtained using computational chemistry

‡P-4   Y. Osada

Damage evaluation by High selective dry etching of GaN over AlGaN

†P-5   C.-Y. Hsu

Damage Reduction towards Plasma Etching of Low-k Dielectrics with New Chemistries

‡P-6   A. Matsutani

Profile Control in Si Etching by Two-step Etching Process Using XeF2 Vapor for Fabrication of Concave Micromirror

†P-7   H. Li

Etching mechanisms of ITO by low energy hydrocarbon ions

‡P-8   T. Sasaki

Ag film etching by halogen gas plasma

Manufacturing Technology (AEC, APC, EES, FDC)

†P-9   M. K. Ramos

Optical Characterization of Amorphous Carbon Deposited via Low-Energy Ions

Surface Reaction and Damage

‡P-10   R. Tahara

Fabrication of dense yttrium oxyfluoride ceramics by hot-pressing and their electrical properties

†P-11   T. Tsunoura

Sintering behavior of yttrium oxyfluoride (Y5O4F7) ceramics

‡P-12   T. Yamamoto

Oxidation of GaN surface by remote oxygen plasma

†P-13   T. Kuyama

Characterization technique of silicon nitride film damaged by plasma exposure

‡P-14   K. Han

Chamber condition control to improve reproducibility of plasma etch processes

†P-15   N. Kamata

The Effect of Deterioration Time on Modified Polyethylene Naphthalate Films by Low-pressure
High-frequency Plasma Chemical Vapor Deposition Method

Plasma Diagnostics and Monitoring System

‡P-16   A.G. Cuevas

Characteristics of Ar/C2H2 plasma for low-energy ion beam extraction and deposition of amorphous carbon films

†P-17   Y. Yamashita

Excitation-kinetic model for argon processing plasma diagnostics by optical emission spectroscopic measurement based on collisional-radiative model

‡P-18   M. Hotta

Application of curling probe to in situ monitoring of thin layer deposited on chamber wall

†P-19   K. J. Jo

Optical Monitoring of Plasma Condition for a Comparison Study of RF Generators

‡P-20   G. J Kang

In-Situ Monitoring and Analysis of NF3 Remote Plasma Chamber Cleaning

†P-21   K. Koga

Development of a fine particle transport analyzer for processing plasmas

‡P-22   M. H. Lee

Silicon Photonics Devices for the Consideration of Plasma Uniformity Monitoring Sensor

†P-23   T. Tsutsumi

A Behavior of negative ions in asymmetric capacitively coupled plasma discharge produced in Ar/O2/C4F8 gas mixture at 100 MHz

‡P-24   A. Ando

Characterization of arc plasma for syntheses of highly crystalline single-walled carbon nanotubes (SWNTs)

Modeling and Simulation

†P-25   T. Shirafuji

Helmholtz equation for representing a potential profile in a weakly collisional quasi-neutral presheath

‡P-26   M. Moriyama

Influence of capacitive coupling on the sheath of inductively coupled plasma for material processing

†P-27   S. Tinck

Cryogenic etching of porous SiO2 with SF6/O2 plasmas

‡P-28   L. -W. Su

Two dimensional simulations of triode VHF SiH4 plasma 

†P-29   S. Rahimi

Numerical Simulation of BOSCH Process Using Chemistry Data from QDB

‡P-30   S. Kawaguchi

Electron collision cross section set of c-C4F8 gas 

†P-31   N. A. Mauchamp

Collision Cascade Dynamics for Self-Sputtering of Lennard-Jones Atoms

‡P-32   H. Choi

Simulation Enhanced Optimization for By-product Removal During Etch Process

Plasma Generation (Equipment/Source)

†P-33   K. Ogiwara

New large-area plasma source using double-ridge waveguide

‡P-34   N. Kamata

Optical emission spectroscopy observation of photoemission- assisted plasma ion source for Surface flattening

†P-35   M. Shimabayashi

Effect of discharge tube temperature on the densities of N(4So) and N2(A3Σu+) in a remote nitrogen plasma

‡P-36   Y. Ohtsu

Ring-Shaped Plasma for Target Utilization in Specific Area by HiPIMS Source

†P-37   Md. A. A. Mamun

A high frequency pulsed-DC power supply for capacitive coupled plasma CVD of DLC films

‡P-38   K. Shibata

Characteristics of 150 kHz band high-power burst inductively coupled plasma

Deposition Technologies(CVD/PVD)

†P-39   H. Kawasaki 

Preparation of several kinds of elements mixed thin films by plasma process using powder targets II  

‡P-40   T. Ohshima

Investigation on sputtering film deposition using powder target

†P-41   C.-W. Lin

NiO thin-film transistor with tetragonal HfO2 gate insulator

‡P-42   N. Promros

Surface Morphology and Wettability of NC-FeSi2 Thin Films Created by Facing-Target Direct-Current Sputtering

†P-43   S. Hashimoto

Photoemission-assisted plasma CVD growth of N-doped diamond like carbon films on Si substrates

‡P-44   K. Yuzurihara

Electrical properties of RF magnetron sputtered Al-doped ZnO thin films and their dependence on radial substrate position

†P-45   T. Kimura

Preparation of TiN films by reactive high power pulsed sputter Penning-type discharges

‡P-46   K. Takenaka

Formation of c-axis Orientated AlN Films Using ICP-enhanced Reactive DC-pulsed Sputtering

†P-47   N. Y. Sato

Plasma effect on the sheet resistance reduction of ZnO transparent conductive film

‡P-48   H. Himura

Properties of ZnO thin film produced by intermittent RF oxygen plasma source

†P-49   Y. Setsuhara

Low-Temperature Formation of High-Mobility IGZO Thin Film Transistors Using ICP-Enhanced Reactive Plasma Processes

‡P-50   T. Maruko

Effects of pulse frequency and duty cycle on synthesis of carbon nitride using pulse microwave plasma CVD

†P-51   M. Takahashi

Influence of pulse width on DLC films deposited by HiPIMS

‡P-52   H. Sugiura

Effects of residence time on dissociation of precursors at deposition of amorphous carbon film by H2/CH4 plasma

†P-53   Y. Inoue

In-situ measurement of IR spectra during SiO:CH deposition by remote-type ICP-CVD

‡P-54   K. Ichikawa

Thermal chemical vapor deposition graphene directly synthesized on the nickel oxide film 

†P-55   K. Saito

Fabrication of boron-doped diamond films on cemented tungsten carbide

Plasma Processes for New Material Devices (MRAM, Power, Organic)

†P-57   D. Ogawa

Investigation of Isocyanate Groups Functionalized After Plasma-Treatment by Focusing on Size of Multi-Walled Carbon Nanotubes

‡P-58   S. Murakami

Etching characteristics of TPCO in O2/Ar plasma

Plasma Processes for Biological and Medical Application, MEMS

†P-59   G.V. Latag

Effect of Plasma Modification on Electrospun Chitosan/ Poly(ε-caprolactone) Nanofiber Mats

‡P-60   K. Matsumoto

Effect of Reducing Active Species in Hydrogen Plasma on Plant Growth

†P-61   R. Ono

Identification of mechanism of callus growth enhancement by active species generated by DBD plasma

‡P-62  K. Yamamoto

Ozone Concentration Effect on Sterilization of Fruit Surface Irradiated by Atmospheric Air Plasma

†P-63   Y.-S. Liao

Growth Enhancement Mechanism of Plants Induced by Oxidation Effect of Active Species in Plasma

‡P-64   R. Ichiki

Investigation on Hard-Tissue Compatibility of TiN Surface formed by Atmospheric-Pressure-Plasma Nitriding

‡P-66   S. Kitazaki

Chromaticity characterization of effects of atmospheric pressure plasma irradiation on skin of hairless mice

Atmospheric Pressure Plasma and Liquid Plasma

†P-67   H. Suzuki

Influence of Air Gas Flow Rate on Photoresist Removal Characteristic in Microwave Excited Plasma using Water Vapor

‡P-68   J.-S. Oh

Spatial distribution of ionic species in plasma plume of an atmospheric pressure He plasma jet

†P-69   H. Akamatsu

Metal organic plasma decomposition for synthesis of metal oxide thin film at low temperature

‡P-70   Y.-C. Sung

Silicon Etching of Difluoromethane Atmospheric Pressure Plasma Jet combined with Its Spectroscopic Analysis

†P-71   K. Kajikawa

Fabrication of fuel cell electrocatalytic layer using nanographene synthesized by in-liquid plasma

‡P-72   H. Suzuki

Power Absorption Mechanism of Atmospheric Pressure Microwave Line Plasma

†P-73   K. Yambe

Determination of Gas Flow Channel from Plasma Light Emission in Atmospheric-Pressure Non-Equilibrium Plasma

‡P-74   K. Ogawa

Extension of Atmospheric Pressure Plasma Length using Sheath Air Flow for Wrapping Core He Jet

†P-75   M. Maeyama

Examination of generated excited species and degradation reactions in waste water treatment using ball lightning like discharges

New Dry Process Concepts

‡P-76   K. Hashimoto

Effects of Streamer Corona Discharges on Electrospray Deposition on Insulator Substrates

†P-77   M.S.D.C. Dela Vega

Gram-scale Production of Functionalized Multi-layered Graphene as Cement Reinforcement