DPS 2020 42nd International Symposium on Dry Process

menu

Poster Session

Presentation guideline
Poster session is divided into two halves in order to prevent congestion.
At least one of the authors must stay in front of the poster during the assigned Core-Time:
Core-time (Part 1) 18:20–19:40 Thursday, November 18, 2021  Even number
Core-time (Part 2) 11:30 -12:50 Friday, November 19, 2021  Odd number


Etching Technology

  • †P-1 Y. Sonoda

    Isotropic radical etching of HfO2 with ECR etching system

  • ‡P-2 A. Hashimoto

    Batch type chemical dry etching system using HF gas

  • †P-3 M. Kyuzo

    Influence of small grounded surface on plasma property in an inductively-coupled plasma with floating liner

Surface Reaction and Damage

  • ‡P-4 R. Kurebayashi

    Hydrogenation and Reduction of Magnesium Oxide by Ion Dose and Temperature Control in Microwave Excited Hydrogen Plasma

  • †P-5 Y. Saito

    Self-align fabrication of nano-reservoirs with NV centers in diamond for high-resolution nano-NMR

  • ‡P-6 S. Toko

    Energy utilization efficiency in CO2 methanation with plasma catalysis

  • †P-7 S. Yamamoto

    Plasma irradiation behavior of Y-Al-O ceramics

  • ‡P-8 S. Asada

    Sulfur Defect Formation in Monolayer MoS2 Maintaining Crystallinity by MW Plasma Treatment

Plasma Diagnostics and Monitoring Systems

  • †P-9 Y. Yamashita

    The plasma diagnosis of 133 Pa argon microwave discharge plasma by optical emission spectroscopic measurement based on systematic excitation-kinetics analysis

  • ‡P-10 H. Akatsuka

    Effect of Metastable Quenching by Oxygen Admixture on Excited-State Populations of Low-Pressure Ar Plasma

  • †P-11 K. Lin

    Diagnostics of Electron Density and Temperature of Atmospheric Pressure Helium Plasma Based on Collisional-Radiative Model

  • ‡P-12 Y. Kuzuki

    Effect of electron energy distribution function on spectroscopic measurement of excited-state densities of non-equilibrium argon plasma

  • †P-13 T. Ninomiya

    First result of three-dimensional reconstruction of large non-uniform surface wave plasma through multi lens array attached to single viewing port

  • ‡P-14 S. H. Son

    Development of Non-invasive Plasma Density Uniformity Monitoring Method in Capacitively Coupled Plasma

  • †P-15 S. Kito

    Behaviors of metastable-state argon ion density in an electron cyclotron resonance plasma source measured by laser-induced fluorescence spectroscopy

  • ‡P-16 D. Ogawa

    Investigation of Coupled Curling Probe for In-situ Measurement of Electron Density and Deposited Film Thickness

Computational Approaches (Modeling, Simulation and Machine Learning) for Dry Process

  • †P-17 T. Kanki

    Simulations of novel compact separator for extracting specific reactive ions from large plasma source

  • †P-19 S. Lee

    Forming TiO2 By-Product in Plasma Abatement System for the Extension of Preventive Maintenance Period

Plasma Generation (Equipment/Source)

  • ‡P-20 W. Okauchi

    Dependences of magnetic filter attached to RF source on producing negative ions for exploring novel nanoscale processing

  • †P-21 C. Katsuki

    First result of extracting not only negative but also positive ion beams from RF source for investigating O– and H– applied to novel nano scale processing

  • ‡P-22 R. Masai

    Characterization of a high-repetition nanosecond pulsed nitrogen glow discharge plasma using time-resolved optical emission spectroscopy

  • †P-23 Y. Asamoto

    Characterization of carrier conduction in a magnetically-confined vacuum arc discharge and its application to control of incident-ion flux to a substrate

Deposition Technologies (CVD / PVD)

  • ‡P-24 Y. Ohtsu

    Spatial profile of Al-ZnO thin film on polycarbonate deposited by ring-shaped magnetized rf plasma sputtering with two facing cylindrical Al2O3-ZnO targets

  • †P-25 H. Kawasaki

    Multi-elements mixture thin film preparation process by sputtering deposition using mixture powder target

  • ‡P-26 H. Maeda

    Properties of CrN/VN multilayer films prepared via a hybrid system of HiPIMS and pulsed-DC magnetron sputtering

  • †P-27 T. Harigai

    High-Rate DLC Deposition by Using Ar/C2H2 Plasma Jet in Combination with Substrate-Stage Discharge

  • ‡P-28 T. Mine

    Properties of diamond-like carbon films prepared with a high-repetition nanosecond pulsed hydrogen/methane glow discharge plasma

  • †P-29 Y. Daigo

    Reduction of stacking fault density in 4H-SiC epi-layers on 150 mm diameter wafers

  • ‡P-30 M. Shiratani

    Study of effect of amplitude modulated discharge on growth of nanoparticles in TEOS plasma

  • †P-31 T. Watanabe

    High uniform and stable performance of epi-growth for low doped 4H-SiC thick epi-layers on 150mm diameter wafers

  • ‡P-32 S. H. Hwang

    Reduction of compressive stress of hydrogenated amorphous carbon films by inserting carbon nanoparticle layer using plasma CVD

  • †P-33 K. Takenaka

    Effect of process conditions on characteristics of InGaZnO thin-film transistors fabricated with plasma-assisted reactive process

  • ‡P-34 T. Ohta

    Plasma diagnostics on TiN-HiPIMS

  • †P-35 H. Bae

    High Speed sp2-rich Carbon Film Deposition with Surface-wave Microwave Plasma

Atomic Layer Processes (ALD/ALE)

  • ‡P-36 J. U. Tercero

    Molecular dynamics simulation of post-etch damage formation in silicon nitride atomic layer etching

Plasma Processes for 3D Device, FPD, Photovoltaic Device

  • †P-37 T. Takasaki

    Through-Via Etching Technology for Oxide-Metal-Organic-Silicon Heterogeneous Layers in DRAM 3DI Applications

Plasma Processes for New Material Devices (MRAM, Power, Organic)

  • ‡P-38 J. Wu

    High Density Formation of Fe-based Silicide Nanodots Induced by Remote H2 Plasma

Plasma Processes for Biological and Medical application, MEMS

  • †P-39 K. Nakajima

    Effect to cell number and cytotoxic activity of active oxygen species irradiation on NK cell

  • ‡P-40 K. Nishida

    Inactivation Effect of Atmospheric Pressure He/O2 Plasma Irradiation on Oral Cancer Cells HSC3

  • †P-41 H. Uematsu

    Activation of cytokine release from EL4 T-cell by irradiation with atmospheric oxygen plasma

  • ‡P-42 K. Nagata

    Dependence on phagocytosis of macrophages and reactive oxygen species by atmospheric pressure oxygen plasma

  • †P-43 R. Muto

    Sterilization characteristics inside narrow tube by using atmospheric pressure dielectric barrier discharge

Atmospheric Pressure Plasma and Liquid Plasma

  • ‡P-44 S. Khanom

    Discharge of Oxygen Plasma for Removal of Metal Ions from Water

  • †P-45 R. Kawakami

    Photobactericidal Activity of Anatase Titanium Dioxide Nanoparticles Annealed with the Assistance of Nonequilibrium Atmospheric-Pressure Oxygen Plasma

  • ‡P-46 T. Izumi

    Bactericidal Effects of Nonequilibrium Atmospheric-Pressure Plasma Jet on Hydroponic Nutrient Solutions

  • †P-47 Y. Mimoto

    Nonequilibrium Atmospheric-Pressure O2 Plasma-Assisted Annealing Effect on Photocatalytic Activity of Anatase/Rutile-Mixed Phase TiO2 Nanoparticles

  • ‡P-48 T. Fukui

    Effect of surrounding gas on aggregation of albumin using low-temperature atmospheric pressure plasma jet

  • †P-49 J. Nonaka

    Improvement of adhesion using low-temperature helium plasma jet at atmospheric pressure

  • ‡P-50 H. Suzuki

    Investigation of Ar/O2 atmospheric-pressure microwave line plasma and its application to Polyimide film ashing

New Dry Process Concepts

  • †P-51 T. Seki

    High aspect (> 20) etching with reactive gas cluster injection

  • ‡P-52 A. Khan

    Process control of electrohydrodynamic nanofiber deposition on PDMS substrates

In conjunction with