DPS 2018 40th International Symposium on Dry Process

menu

Poster Session (Thursday, Nov. 15)

Presentation guideline
Poster session is divided into two halves in order to prevent congestion.
At least one of the authors must stay in front of the poster during the assigned Core-Time:
Core-time (1) 11:10 - 12:00  Odd number
Core-time (2) 12:00 - 12:50  Even number


Etching Technology

  • †P-1 Y. Ishii

    Surface Composition Modulation for SiGe by Plasma-Induced Si Surface Segregation

  • ‡P-2 J. Piet

    Inhibition of carbon deposition on Si in CH4/H2/Ar ICP plasma at low bias by addition of O2 or N2O

  • †P-3 T. Ito

    Etching reactions by polyatomic molecular ions containing fluorine atoms

  • ‡P-4 T-T-N Nguyen

    Floating-Wire-Assisted Atmospheric Pressure Plasma for High-Speed Glass Etching

  • †P-5 H. Shirahama

    Dry etching technologies for Cr film

  • ‡P-6 T. Mitsunari

    Thermal Adsorption-Assisted Atomic Layer Etching of SiO2

  • †P-7 W. O. Lee

    Highly selective dry etching of Si3N4over SiO2 using ClF3/H2 Plasma

  • ‡P-8 T. Hayashi

    Dissociative properties of C2HF5 and C2F6 obtained using computational chemistry

  • †P-9 H. Tanaka

    Time-multiplexing Plasma Etching Process and Tool in Minimal Fab

  • ‡P-10 T. Seki

    Fabrication of multilayer lever structure by double-angled tching with reactive gas cluster injection

Surface Reaction and Damage
  • †P-11 C. M. D. Cagomoc

    Molecular Dynamics Simulation of Nanometer-Scale Hole Etching of SiO2 with Carbon Masks

  • ‡P-12 Y. Fukunaga

    Fundamental study of the interaction of plasma species with organic materials by experimental and computational approaches

  • †P-13 F. Le Roux

    Study of degradation of two-dimensional electron gas by plasma-etching of SiN on AlGaN/GaN heterostructures for power devices

  • ‡P-14 T. Omichi

    High temperature etching of GaN with H2-added Cl2 plasma

  • †P-15 E. J. Tinacba

    Role of F ions on high-speed etching of Si-based substrates

  • ‡P-16 Y. Yamamoto

    Self-organized ripple patterns formed on pre-amorphized carbon surfaces

  • †P-17 M. Tsukamoto

    Reduction of tarnished Ag using Ar/H2 plasmas studied by in-situ optical emission spectroscopy

  • ‡P-18 A. Ogino

    Surface Investigation of Oxidized Carbon Films Prepared by Atmospheric Pressure Plasma and Low Pressure Microwave Plasma

  • †P-19 S. Kameshima

    Plasma-enhanced CH4 oxidation by CO2 via catalyst oxidation/reduction cycle

  • ‡P-20 R. Akatsu

    Densification behavior of yttrium oxyfluoride ceramics and their mechanical properties

  • †P-21 K. Miyashita

    Plasma exposure behavior of yttrium oxyfluoride ceramics

  • ‡P-22 T. Tamura

    Study of Ceramic Coating Characteristics to Reduce Small Particle Generation from Plasma Etching Chamber Wall

  • †P-23 R. Tahara

    Volume resistivity of dense yttrium oxyfluoride ceramics fabricated by hot-pressing

  • ‡P-24 N. Taoka

    Impact of remote plasma oxidation of GaN surface on photoluminescence properties

Plasma Diagnostics and Monitoring System
  • †P-25 R. Sawata

    Experimental Investigation of Plasma Evolution After an Injection of Organic Solvent

  • ‡P-26 H. Akatsuka

    Spectroscopic study on CO b 3 Σ+ state in microwave discharge CO2 plasma and the effect of rare-gas admixture

  • †P-27 J-S Oh

    Measurement of water cluster ions in plasma plume of an atmospheric pressure He plasma jet

  • ‡P-28 M. Shinohara

    Deposition Process of Amorphous Carbon Film during Ethylene Plasma, Compared with the Process during Methane Plasma

  • †P-29 H. Ohtomo

    Motion analysis of inter-particle interactions of three fine particles in Ar plasma

Modeling and Simulation
  • ‡P-30 H. Li

    Computational study on silicon oxide PECVD process using TEOS/O2/Ar/He

  • †P-31 N. Mauchamp

    General scaling of sputtering yields; molecular dynamics study of Lennard-Jones systems

  • ‡P-32 J.-S. Wu

    Rigorous Advanced Plasma Integration Testbed (RAPIT) –A Parallel Computing Platform for Multiphysics Simulation

  • †P-33 T. Kobayashi

    Numerical simulation of O2 premixed He plasma jets interacting with a grounded target

  • ‡P-34 K. Denpoh

    Multiscale Simulation of Titanium PECVD Process

  • †P-35 S. Kawaguchi

    Method for obtaining longitudinal third-order transport coefficient by using α parameters

  • ‡P-36 Y.Saito

    Global Model Analysis of 150 kHz Band High-Power Pulse Burst Inductively Coupled Plasma

  • †P-37 J. Um

    Numerical study about accumulated charge effect on feature profile

  • †P-39 T. Yagisawa

    First Principle Molecular Dynamics of Fluorocarbon Molecule Injection into Si Surfaces

Plasma Generation (Equipment/Source)
  • ‡P-40 D. Liu

    Effect of longitudinal magnetic field on plasma discharge with spiral antennas

  • †P-41 T. Morioka

    Pulsed gas injection for effectively producing negative oxygen ion plasmas

  • ‡P-42 S. Fujimura

    Ring-shaped microwave plasma production in liquid flow environment for nanoparticle synthesis

  • †P-43 T. Maegawa

    Characteristics of a High-Repetition Nanosecond Pulsed Nitrogen Glow Discharge and Its Application to a Plasma Nitriding Technique

Deposition Technologies (CVD / PVD)
  • ‡P-44 Y. Mastuda

    Uniform deposition of Ga-doped ZnO film by narrow gap RF magnetron discharge using buffer layer

  • †P-45 Y. Ohashi

    Defect termination mechanism in amorphous carbon films by atomic hydrogen radicals

  • ‡P-46 Y. Kamimura

    Effect of low-energy ion impact on the structure of boron nitride films studied in surface-wave plasma

  • †P-47 H. Kawasaki

    Preparation of several kinds of elements mixed thin films by plasma process using powder targets III

  • ‡P-48 K. Sakai

    Comparative investigation on DLC films prepared by Reactive High-Power Impulse Magnetron Sputtering of Ar/CH4 and Ar/C2H4 Mixture

  • †P-49 T. Harigai

    Preparation of Conductive Hard Film Consisting of Ultra-Thin N-DLC Multilayers

  • ‡P-50 C. Sekizaki

    Structural analysis of hydrogenated amorphous carbon nitride films formed from the decomposition of CH3CN in the microwave discharge flow of Ar

  • †P-51 Y. Ohtsu

    Treatment of polycarbonate plate for next-generation vehicle window by radio frequency magnetron plasma sputtering with an arrangement of cylindrical magnets

  • ‡P-52 K. Takenaka

    Gate-bias instability of post-deposition plasma treated amorphous InGaZnOx thin-film transistors prepared with plasma-assisted reactive magnetron sputtering

  • †P-53 R. Yoshida

    Preparation of TiSiN films by High Power Pulsed Sputtering Penning discharge

  • ‡P-54 T. Tanaka

    Properties of TiON Films prepared by Reactive High Power Pulsed Magnetron Sputtering containing O2 and N2 gases

  • †P-55 Y. Shibata

    Electrical Conductivity of Si-DLC films prepared by HiPIMS combined with PBII system

  • ‡P-56 A. Tanide

    Epitaxial growth of GaN films with the argon-based sputtering method using additive H2 and Cl2 gases

  • †P-57 T. Kimura

    Deposition and ion irradiation multi-process coating of diamond-like carbon films using bipolar type plasma-based ion implantation

  • ‡P-58 L. Shi

    Effects of Higher-Order Silane deposition on spatial profile of SiH2/SiH bond density ratio of a-Si:H films

  • †P-59 Y. Miwa

    Dependence of Si content on Si-doped DLC film deposited by using magnetron sputtering

  • ‡P-60 K. Iga

    Deposition mechanism of diamond-like carbon using high power impulse magnetron sputtering

  • †P-61 T. Suwa

    Effects of He gas addition on the growth of transparent conductive Al-doped ZnO films in radio frequency magnetron sputtering

  • ‡P-62 K. Imoto

    Sputter deposition of low resistive amorphous In2O3:Sn films using nitrogen-mediated amorphization method: Effects of target-substrate distance

  • †P-63 M. Nakamura

    Gas phase diagnostics of high power impulse magnetron sputtering plasma for TiN film deposition

  • ‡P-64 T. Suzuki

    Effect of reactive species on the structure of carbon nanowalls grow in CH4/H2/Ar mixture plasma

  • †P-65 R. Morioka

    Nickel nitride semiconductor was synthesized by thermal chemical vapor deposition

  • ‡P-66 N. Shimizu

    Influence of Chamber Pressure on the Crystal Quality of Homo-Epitaxial GaN Grown by Radical Enhanced MOCVD (REMOCVD)

Atomic Layer Processes (ALD/ALE)
  • †P-67 R.H.J. Vervuurt

    Plasma Modification of Si-compound Surfaces: Opportunities for Atomic Layer Etching

  • ‡P-68 T. Tsutsumi

    Etch Characteristics of Atomic Layer Etching by Alternating Fluorocarbon Deposition and Oxygen Plasma Etching

Plasma Processes for 3D Device, FPD, Photovoltaic Devices
  • †P-69 N. Sato

    Voc improvement of Cu2O based PV by employing ECR plasma redox

Plasma Processes for New Material Devices (MRAM, Power, Organic)
  • ‡P-70 S. Rupesh

    Fluctuation in nano-carbon pH sensors in a liquid using CNWFETs fabricated by the self-alignment process

  • †P-71 D. Ogawa

    Investigation of Plasma-functionalization to Small-Size Multi-Walled Carbon Nanotubes

  • ‡P-72 A. De Luca

    Ion beam processing: an alternative of standard Dry plasma etching

  • †P-73 K. Oh

    Study on Characteristics of Residual Stress using Composite Materials of Copper-Graphene

  • ‡P-74 Y. Tomita

    Fabrication of nanostructured TiO2 photocatalyst by He plasma irradiation and ethylene gas decomposition

  • †P-75 W. Zhao

    Current induced magnetization switching of hybrid memory layer comprised of CoPd/Pd and Co/Pd multilayers

  • ‡P-76 R. Tobe

    Self-oscillation properties of M2-phase VO2 film grown on conductive ITO by ICP-assisted sputtering

  • †P-77 Y. Hashimoto

    High Density Formation of FePt Nanodots and Their Magnetic Properties

  • ‡P-78 K. Nitta

    Plasma-assisted inkjet printing of Poly (3, 4 ethylene dioxythiophene) / poly (styrenes sulfonate)

  • †P-79 H. Kondo

    Synthesis of TiO2/C Composite Nanoparticles by Discharge Plasma at Pressurized Gas/Liquid Interface

Plasma Processes for Biological and Medical application, MEMS
  • ‡P-80 G. Urabe

    Structural Change of Multimer Proteins Exposed to 300 kV/cm Electrical Pulses

  • ‡P-82 S. Kumagai

    Visualization of in-liquid hydroxyl radicals generated by atmospheric pressure plasma jet

  • †P-83 T. Hiramatsu

    Molecular size dependence of gene transfer efficiency into human cells using surface discharge

  • ‡P-84 H. Hashizume

    Analysis of radical-treated amino acid using UV absorption spectroscopy for plasma-medical application

  • †P-85 Y. Ikeda

    Introduction of fluorescent molecules into SUMA fish egg using surface discharge

  • ‡P-86 Y. Inoue

    Effect of Atmospheric Oxygen Plasma on Osteoclast Differentiation

  • †P-87 Y. Ide

    Mechanism of Plant Growth Enhancement Using Karrikin Produced by Oxygen Plasma

  • ‡P-88 K. Ogawa

    Cell viability measurement of melanoma cells treated with nitrogen-oxide-radical activated medium

  • †P-89 H. Kondo

    Effect of Solutions Irradiated with Oxygen Radicals on Molecular Mobility of Supported Lipid Bilayer

  • ‡P-90 S. Feng

    Photocatalytic application of helium plasma induced nanostructured tungsten oxides

  • †P-91 S. Arata

    Reactive Ion Etching in Development of 0.6 mm by 0.6 mm CMOS-Compatible Solid-State Glucose Fuel Cell for Small-Form-Factor Biomedical IoT Applications

  • ‡P-92 S. Yoshimura

    Controlling feeding gas temperature of plasma jet with Peltier device for experiments with fission yeast

  • †P-93 T. Nishikawa

    Control of Proliferation and Activation of Myeloid Progenitor Cells Using Plasma Torch

  • ‡P-94 K. Takeda

    Synthesis of nanographene by in-liquid plasma and its application to catalyst layer of polymer electrolyte fuel cell

  • †P-95 H. M. Nguyen

    Grating Fabrication for Wavelength Selective Infrared Emitter Using Surface Plasmon Polariton

Atmospheric Pressure Plasma and Liquid Plasma
  • ‡P-96 K. Katsuno

    Effects of electrical and spectroscopic properties of nonequilibrium atmospheric pressure plasma source on adhesion strengths of a polymeric resin

  • †P-97 T. Aizawa

    Improvement of Ashing Rate Uniformity Using Water Plasma with a Modified Antenna

  • ‡P-98 R. R. Borude

    Synthesis of composite of tin oxide particles and graphene sheets employing the in-liquid plasma process

  • †P-99 T. Shirafuji

    Effects of Dragged Water Vapor on the Chemistry Triggered by Metastable Argon Atoms Irradiated on a Flowing Water Surface at Atmospheric Pressure

  • ‡P-100 K. Yambe

    Ion Temperature Estimation from Frequency Dependence of Excitation Temperature in Atmospheric-Pressure Nonequilibrium Plasma

  • †P-101 K. Kuriyama

    Plasma-assisted synthesis of zinc oxide thin film using two different precursor materials

  • ‡P-102 K. Ishikawa

    Nonequilibrium atmospheric pressure plasma activates organic substances for antitumor effects

  • †P-103 R. Kawakami

    Hydrophilic Modification of Polypropylene Film Surfaces Treated by Atmospheric-Pressure Air Plasma Jet

  • ‡P-104 Y. Yoshitani

    Effect of Atmospheric-Pressure O2 Plasma-Assisted Annealing on Photocatalytic Activity of TiO2 Nanoparticles

  • †P-105 T. T. Nguyen

    Effect of alcohol on heat flux, discharge power and the reactive oxygen radical production of argon atmospheric nonthermal plasma jet

  • ‡P-106 K. Eto

    The effect of ultraviolet light irradiation in gliding arc discharge device using ultraviolet LED

  • †P-107 T. Yuji

    Decomposition of Sodium Dodecyl Sulfate Aqueous Solution Using Atmospheric-­pressure Non-­equilibrium Microwave Discharge Plasma Jet

  • ‡P-108 V. Gamaleev

    Optical Emission Spectroscopy of Micro-arc Discharge Plasma in Sea Water at High Pressure

  • †P-109 N. Kitakaze

    Effect of liquid electrode flow rate on the synthesis of metal nanoparticles by atmospheric-pressure glow discharge

  • ‡P-110 H. Yoshiki

    Local deposition of diamond-like carbon films by atmospheric pressure pen-like plasma CVD

  • †P-111 M. Takeno

    Effect of radical irradiation on purple photosynthetic bacteria in various solutions

  • ‡P-112 S. Ishikawa

    High speed photoresist removal using slot-excited atmospheric-pressure microwave O2/CF4 plasma

  • †P-113 T. Goto

    Promotion of Aspergillus-spore germination through radical irradiation

  • ‡P-114 T. Nagase

    Inactivation of biofilm-forming Pseudomonas aeruginosa using water treated with oxygen radicals

  • †P-115 H. Yajima

    Surface Modification of Polytetrafluoroethylene by Line Shaped Ar/Ethanol Atmospheric Pressure Plasma Jet

  • ‡P-116 X. Hu

    Ultrasonic Assisted Fabrication of Metal Nanoparticles by Laser Ablation in Liquid

New Dry Process Concepts
  • †P-117 H. K. Lee

    Effect of Rapid and Selective Thermal Process on Dielectric/Metal/Dielectric layers Deposited by Sputtering