DPS 2019 41st International Symposium on Dry Process

menu

Poster Session (Friday, Nov. 22)

Presentation guideline
Poster session is divided into two halves in order to prevent congestion.
At least one of the authors must stay in front of the poster during the assigned Core-Time:
Core-time (1) 13:45~14:35  Odd number
Core-time (2) 14:35~15:25  Even number


Etching Technology

  • †P-1 A. Tanide

    Refinement of roughness and damages of GaN in chlorine plasma etching at high substrate temperature using two steps at different bias voltages

  • ‡P-2 J. Ni

    Etching characteristics of silicon nitride film in plasma of fluoroethane (CH2FCHF2), Ar, and O2 mixture

  • †P-3 T. Tillocher

    Benefits of cryogenic processes in advanced etching

  • ‡P-4 D. Iino

    High aspect ratio etching process of SiO2 films by using on site synthesized C2 F4 from CF4

  • †P-5 T. Hayashi

    Jahn-Teller effect and dissociative properties of CHF3 obtained using computational chemistry

  • ‡P-6 D. Sung

    Comparison of etch characteristics using Cx (X=4,5,7)F8

  • ‡P-8 J-S. Oh

    ACL etching masked with High-χ BCP

Manufacturing Technologies (AEC, APC, EES, FDC)

  • †P-9 H. Ashizawa

    Effect of the Microstructures of Yttria Coatings on their Fluorination Behavior in Fluorine-based Plasma

Surface Reaction and Damage

  • ‡P-10 S. Hamaguchi

    Fundamental Properties of Fluorocarbon Reactive Ion Etching of SiO2

  • †P-11 E. J. Tinacba

    Molecular dynamics simulation of Si atomic layer etching with NF2 radicals and Ar+ ions

  • ‡P-12 T. Omichi

    Suppression of etch pit formation in GaN etching using H2-added Cl2 plasma at 400°C

  • †P-13 C. Huang

    Surface Activation of Polycarbonate by Linear Atmospheric Pressure Plasma Array

  • ‡P-14 K. Miyashita

    Corrosion behavior of yttrium oxyfluoride ceramics in HCl and HNO3 solution

Plasma Diagnostics and Monitoring System

  • †P-15 Y. Lee

    In-situ Monitoring of Plasma Ignition Steps in Capacitively Coupled Plasma System

  • ‡P-16 S. Lee

    Sensitivity Enhancement of Plasma Etching Endpoint Detection Using Modified Gaussian Mixture Model

  • †P-17 Y. Shin

    Plasma Optical Signal Analysis for SiNx Plasma Enhanced Chemical Vapor Deposition Processes

  • ‡P-18 H. Akatsuka

    Radial Dependence of Rotational Temperature of N2 and N2+ Molecules in Microwave Discharge Nitrogen Plasma

  • †P-19 S. An

    In-situ Process Monitoring for Eco-friendly Chemical Vapor Deposition Chamber Cleaning

  • ‡P-20 Y. Matsuda

    Measurement of energy distribution function of charged particles incident on substrate in RF magnetron Sputtering by retarding field energy analyzer

Modeling and Simulation

  • †P-21 M. Hanicinec

    Automatic Plasma Chemistry Generation with Quantemol Database

  • ‡P-22 T. Yang

    SAQP Pitch Walking Improvement Path Finding by Simulation

  • †P-23 P. Moroz

    General Feature Scale Simulator

  • ‡P-24 N. Mauchamp

    Evaluation of the correlation between physical sputtering yield and material physical properties using Molecular Dynamics simulations

  • †P-25 F. Pinzan

    Atomic scale simulations of Hx+ ions modification of SiO2 thin films for an innovative ONO stack etching Process and SiO2 patterns sidewalls

  • ‡P-26 T. Kanki

    Simulations of negative ion extraction and transport for developing novel remote plasma processing

  • †P-27 D. Im

    Planar Heating Chuck to Improve Temperature Uniformity of Plasma Processing Equipment

  • ‡P-28 Y. Kawai

    Two dimensional simulations of large-area VHF H2 plasma using plasma hybrid code

  • †P-29 K. Ikeda

    A computational model for plasma enhanced chemical vapor deposition of silicon nitride

  • ‡P-30 J. H. Um

    Study about uniformity of plasma according to species loss rate at chamber wall

  • †P-31 K.-L. Chen

    Progress on Developing a Parallel Plasma Fluid Modeling Code Using Finite-Volume Method with an Unstructured Grid

Plasma Generation (Equipment/Source)

  • ‡P-32 Y. Ohtsu

    Production of double ring-shaped magnetized radiofrequency hydrogen high-density plasma

  • †P-33 D. Liu

    Development of remote negative ion plasma processing system

  • ‡P-34 T. Morioka

    Measurement of drop in electron temperature in a flat plasma source with magnetic filter

Deposition Technologies (CVD / PVD)

  • †P-35 T. Ohta

    Deposition of diamond like carbon film using high power impulse magnetron sputtering

  • ‡P-36 M. R. Vasquez Jr.

    Synthesis of TiO2/V2O5 heterojunction thin films using reactive magnetron sputtering

  • †P-37 H. Kawasaki

    Preparation of several kinds of elements mixed thin films by plasma process using powder targets IV

  • ‡P-38 T. Iida

    Development of vibrational equipment for direct deposition on surface of carbon powder

  • †P-39 S.H. Hwang

    Effects of DC Pulse Bias on Deposition Characteristics of a-C:H Films Deposited by High Pressure Ar+CH4 Plasma CVD

  • ‡P-40 Y. Sugie

    Preparation of Si-Contained DLC by Co-Deposition of Filtered-Pulse-Arc and Electron-Beam Depositions

  • †P-41 S. Muraoka

    Fabrication of low resistive amorphous In2O3:Sn films using impurity mediate amorphization method: Effects of substrate temperature

Atomic Layer Processes (ALD/ALE)

  • ‡P-42 A. H. Basher

    Surface reactions of acetylacetone and hexafluoroacetylacetone as etchants for dry thermal atomic layer etching (ALE) of nickel oxide

  • ‡P-44 K. Nakazawa

    Investigation of fluorine-based plasma for Atomic Layer Etching of GaN

  • †P-45 H. Li

    Surface reaction mechanisms of plasma-based SiO2 atomic layer deposition (ALD) processes

  • ‡P-46 G. Tsuchibuchi

    A Comparative Study on the Oxidants for the Atomic Layer Deposition of Titanium Oxide Thin Films

Plasma Processes for 3D Device, FPD, Photovoltaic Devices

  • ‡P-48 K. Hosomi

    Bimodal plasmon resonances by Ag/SiO2 /Au system for high sensitive VOC detection

  • †P-49 M. Moriyama

    Potential structure of high-aspect-ratio capillary hole in a pulsed-VHF capacitive coupled plasma

Plasma Processes for New Material Devices (MRAM, Power, Organic)

  • †P-51 D. Watanabe

    SiC dry etch technology employ ing Chemical Dry Etch

  • ‡P-52 D. Ogawa

    Effect of Plasma-processed Carbon Nanotubes for Polyurethane Composite Film

  • †P-53 R. Tobe

    Growth of VO2 thin films on conductive ITO layers by ICP-assisted sputtering and their electrical switching properties

  • ‡P-54 S. Miyazaki

    Formation of High Density PtAl Nanodots Induced by Remote Hydrogen Plasma Exposure

  • †P-55 T. Suwa

    Effect of in-situ post-annealing on the resistivity profile of Al-doped ZnO films in magnetron sputtering

Plasma Processes for Biological and Medical application, MEMS

  • ‡P-56 L. Hsu

    The challenge of deep Si cavity etching in overcoming a tapered shape photoresist in MEMS microphone manufacturing

  • †P-57 S. Subaedah

    Growth Characteristics of EL-4 T-cell with the activator CD3/CD28 Using Atmospheric Oxygen Plasma for Malaria Disease

  • ‡P-58 Y. Matsunaga

    Gas species dependence of macrophage phagocytosis activation by atmospheric pressure plasma irradiation

  • †P-59 R. Okabayashi

    Fruit surface sterilization and damage evaluation by treatment of high concentration ozone

  • ‡P-60 N. Hayashi

    Activation and differentiation control of osteoclast precur-sor cells irradiated with oxygen plasma

  • †P-61 Y. Hadano

    Electrospinning of polymer materials for fabrication of bioabsorbable nanofiber membranes

Atmospheric Pressure Plasma and Liquid Plasma

  • ‡P-62 H. Matsuura

    Transmittance Spectra of Polyvinyl Alcohol -Potassium Iodine irradiated by Atmospheric Pressure Plasma Jet

  • †P-63 T. Shirafuji

    Plasma-bullet propagation behind a dielectric plate irradiated with an APPJ

  • ‡P-64 Y. Mizukawa

    Precise determination of Temperature Distribution in Molten on Insulating Substrate Silicon Formed by Atmospheric Pressure Thermal Plasma Jet Annealing

  • †P-65 K. Segawa

    Large Area Annealing by Magnetic Field Scanning of Atmospheric Pressure Thermal Plasma Beam

  • ‡P-66 H. Kondo

    Effects of functional groups in raw material molecules on synthesis rate and structures of nanographene Materials synthesized by in-liquid plasma using alcohols

  • †P-67 T. N. Tran

    Improvement of Discharge Perormance For Long Time Irradiating with Atmospheric Plasma Gas Discharge

  • ‡P-68 K. Oshima

    Synthesis of Aluminum Nitride on Al-Si Alloys using an Electric Discharge Process

  • †P-69 T. Kobayashi

    Modeling study on AC atmospheric pressure He plasma jets interacting with a dielectric target

  • ‡P-70 I. Ohsawa

    Synthesis of graphene oxide catalyst for fuel cell using gas liquid interfacial plasma

  • †P-71 H. Akamatsu

    Distribution of zinc oxide nanoparticles in water with atmospheric pressure plasma jet irradiation

  • ‡P-72 S. Imai

    Discharge Characteristics of Ar Atmospheric-pressure Plasma

New Dry Process Concepts

  • †P-73 H. Itagaki

    Nanoparticle modified spherical particle for med by DC arc spheroidization for water atomized stainless steel powder

In conjunction with