DPS2022 43rd International Symposium on Dry Process

menu

Poster Session

Presentation guideline
Poster session is divided into two halves in order to prevent congestion.
At least one of the authors must stay in front of the poster during the assigned Core-Time:
Core-time (Part 1) 18:40 – 20:00 JST, Thursday, November 24, 2022  Even number
Core-time (Part 2) 8:30 – 9:50 JST, Friday, November. 25, 2022 Odd number


Etching Technology

  • †P-1 M. Hada

    Etch Rate and Uniformity Prediction Using Laser Absorption Spectroscopy

  • ‡P-2 Y. Ikeda

    Feedforward temperature control and failure prediction technologies for intelligent subsystems

  • †P-3 M. Morimoto

    Effects of time-modulation bias on polysilicon gate etching

Manufacturing Technologies (AEC, APC, EES, FDC)

  • ‡P-4 H. Kato

    Generation of Reactive Atmospheric-Pressure Micro-Thermal-Plasma-Jet and Its Application to Organic Film Etching

Surface Reaction and Damage

  • †P-5 S. Taira

    Etching reactions of high energy ions with SiO2/SiN nano-scale multi-layers

Plasma Diagnostics and Monitoring Systems

  • ‡P-6 Y. Yamashita

    Diagnosis of argon inductively coupled plasma by optical emission spectroscopic measurement with compensation of spectral reflectance on chamber inner wall

  • †P-7 M. Niimoto

    Experimental test of passively determining three-dimensional distributions of reactive species contained in surface wave plasmas

  • ‡P-8 H. Matsuura

    Calorimetric measurement of reactive species amount and surface reaction of plasma irradiated target

  • †P-9 Y. Seki

    Time-resolved measurement of ion composition in a dual-frequency capacitively-coupled pulsed plasma

  • ‡P-10 Y. Lee

    Developed technology for thickness and process diagnosis sensor to improve the reliability of nano-etching

  • †P-11 K. Masuda

    Spatial distribution of hydrogen atom density above stainless-steel surface in inductively coupled remote H2 plasma measured by vacuum ultraviolet absorption spectroscopy

  • ‡P-12 N. Britun

    Plasma diagnostics of the F and O radical density in dual-frequency capacitively coupled discharges with CF4/H2

Computational Approaches (Modeling, Simulation, Machine Learning, AI, Informatics, DX) for Dry Process

  • †P-13 Z. R. Ma

    Comparison of powderizations of uranium dioxide solid by non-equilibrium plasma oxidation and thermochemical oxidation for actinide analysis

  • ‡P-14 Y. Shimamura

    Nano-Pixel Power-Splitter and High-Mesa Sensing Waveguides Integration using Two Step Dry-etching

  • †P-15 T. Yang

    Predicting Device Shapes and Structures via Ellipsometry Spectra from GPU Accelerated Finite Difference Time Domain Simulation.

  • ‡P-16 I. Nagao

    One-dimensional particle-in-cell / Monte Carlo collision model simulation of the effects of amplitude modulation discharge on ion/electron energy distribution functions

Plasma Generation (Equipment/Source)

  • †P-17 T. Anan

    Effects of plasma activ ated lact ate solution administration to seeds of Arabidopsis thaliana on germination characteristics

  • ‡P-18 Y. Ohtsu

    Spatial structures of rf ring-shaped magnetized sputtering plasma with two facing cylindrical ZnO/Al2O3 targets

  • †P-19 H. Himura

    Development of negative ion source using microwave plasma

Deposition Technologies (CVD / PVD)

  • ‡P-20 L. V. Minh

    An edge-type ionic liquid ion source with the flow-feeding microfluidic channels

  • †P-21 S. Kato

    Study for a Sharp Resonant Spectrum from Curling Probe

  • ‡P-22 J. W. Hong

    Effect of Various Pulse Plasma Techniques on TiO2 Etching

  • †P-23 H. Kawasaki

    Elemental gradient functional thin film production for hydrogen entry prevention using NiO powder target

  • ‡P-24 K. Takenaka

    Development of Plasma-Assisted Reactive Process for Large-Area Uniform Formation of High Mobility IGZO Thin-Film Transistors

  • †P-25 S. Kaneko

    Lattice constants of Ionic crystal with point defects ~simulation and experiment on MgO crystal~

  • ‡P-26 J. Kito

    Development of DC Vacuum Arc Deposition Systemwith Anode Generating Magnetic Field and Preparation of TiN Film

  • †P-27 T. Magata

    CVD Synthesis of Vertical Nanowire Thin Film of Reduced Niobium Oxide and the Electrocatalytic Performance Assessment

  • ‡P-28 H. Kunieda

    Gas phase diagnostics on high power pulsed magnetron sputtering using double-pulse target voltage for deposition of diamond like carbon

  • †P-29 K. Akiyama

    Luminescent Epitaxial β-FeSi2 Film Growth by MOCVD

  • ‡P-30 H. Kobayashi

    Investigation of post treatment with atmospheric pressure plasma on metal oxide thin films deposited by mist CVD

  • †P-31 W. Yasuda

    Crystalline Phase Control of Hf-oxide Layer due to Si Surface Orientations

Atomic Layer Processes (ALD/ALE)

  • ‡P-32 Y. Jang

    Plasma Information Variables Based on Ion Dynamics in Collisional RF Sheath for Etching Profile Monitoring

  • ‡P-54 A. Osonio

    Isotropic Plasma-enhanced Atomic Layer Etching of SiO2 using F radicals and Ar plasma

Dry process for Green Transformation:GX (Energy saving technology, Alternative gas, 3D-IC/Packaging)

  • ‡P-34 T. Matsumoto

    Polyethylene Glycol Doping Effects on Photocatalytic Activity of Anatase/Rutile-Mixed Phase TiO2 Nanoparticles

  • †P-35 S. Endo

    Consideration of Reaction Mechanism by Calculation of Behavior of Oxygen Active Species in Photodesmear® Method

  • ‡P-36 S. Toko

    Effect of H2O capture in methanation with plasma catalysis

Plasma Processes for New Material Devices (MRAM, Power, Organic)

  • †P-37 Y. Miyatake

    Flexible VO2 films with insulator-metal transition grown on polyimide films

Plasma Processes for Biological and Medical application, MEMS

  • ‡P-38 N. Hayashi

    Changes in molecules on the seed surface due to oxygen plasma irradiation

  • †P-39 S. Ahmed

    Increased yield of medicinal plants by low pressure plasma irradiation of seeds

Atmospheric Pressure Plasma and Liquid Plasma

  • ‡P-40 H. Woo Tak

    Effect of CxHyFz Isomer Branch Structure on High Aspect Ratio Etching

  • ‡P-42 T. Shimosaka

    Fundamental Study on Decladding of Silicon Carbide Layer in TRISO Fuel by Using Non-Equilibrium Plasma Reactor

  • †P-43 D. Kashiwagi

    Novel CVD process using solution raw materials

  • ‡P-44 T. Shirafuji

    Influence of the Initial Space Charges on the Dynamics of Surface-Launched Plasma Bullets

  • †P-45 K. Z. PHYO

    Optical Wave Microphone Measurement of Pressure Wave Generated During Plasma Formation

  • ‡P-46 T. Matsumura

    Effects of Quasi-Atmospheric-Pressure Low-Temperature Air Plasma Jet Irradiation on Increasing Minerals in Fresh Food

  • †P-47 Y. Makino

    Atmospheric-Pressure Low-Temperature O2 Plasma-Assisted Annealing on Visible-Light-Induced Photocatalytic Activity of Pt-doped Rutile TiO2 Nanoparticles

  • ‡P-48 K. Nomoto

    Damage-Less Microbial Inactivation of Plant Nutrient Solutions Irradiated with Atmospheric-Pressure Low-Temperature Air Plasma Jets

New Dry Process Concepts

  • †P-49 I. Ohyama

    Repetition Frequency Fluctuation due to Interaction between Cold Plasma and Metal Conductor under Atmospheric Pressure

  • ‡P-50 J. R. Vella

    Unraveling Plasma-Surface Interactions Through Molecular Dynamics Simulations

  • †P-51 E. Kang

    Research on HBP-ALE (High boiling point atomic layer etching) source technology and surface reaction mechanism using Fluorocarbon-based alternative gas

  • ‡P-52 T. Seki

    GaN etching with reactive gas cluster injection

  • †P-53 H. Kawasaki

    Transition Metal Doping of Calcium Carbonate Whisker for Photothermal Application