DPS2023 44th International Symposium on Dry Process

menu

Poster Session

Presentation guideline
Poster session is divided into two halves in order to prevent congestion.
At least one of the authors must stay in front of the poster during the assigned Core-Time:
Core-time (1) 10:40 -11:40 † Odd numbers
Core-time (2) 11:40 -12:40 Even numbers


Etching Technology

  • ‡P-2 H. Ikeo

    Etching Characteristics of GaN and Role of Hydrogen Atoms in Hydrogen plasmas

  • †P-3 T. Hayashi

    Dissociative properties of C4F6 obtained using computational chemistry

  • ‡P-4 C. Abe

    High aspect ratio SiO/SiN (ON) stacked layer etching using C3HF5 gas

  • †P-5 M. Tohnishi

    Fabrication of nonreflective black germanium in near-infrared region up to a wavelength of 2.5 μm by SF6+O2/C4F8-plasma-based deep reactive ion etching

  • ‡P-6 H. S. Gil

    Isotropic etching characteristics of SiNx and SiO2 according to the Cl/F species ratio in a remote

  • †P-7 S. B. Kim

    High Aspect Ratio Dielectric Etching using Highly Polymeric HFC (CHF3, CxHyFz, x=3, 4) Gases

Manufacturing Technologies (AEC, APC, EES, FDC)

  • ‡P-8 J. Ichihara

    Development of Sapphire Capacitance Manometer (model: V8S)

  • †P-9 E. Park

    Fault Detection using Optical Emission Spectroscopy Signals with Principal Component Analysis in Plasma Etching Processes

Surface Reaction and Damage

  • ‡P-10 S. Kawabata

    Tungsten surface reactions by fluorocarbon ion beam injections

  • †P-11 S. Nunomura

    Defect characterization at SiO2/Si interface throughout plasma processing and annealing

  • ‡P-12 T. Ishino

    XPS measurement of zirconium oxynitride thin films deposited by reactive magnetron sputtering

  • †P-13 A. Kuwada

    Effects of He dilution on chemical states in amorphous carbon films deposited by acetylene plasma

  • ‡P-14 M. Shinohara

    Deposition Process of carbon film during Methyl-acetylene plasma, Investigated with Infrared Spectroscopy

  • †P-15 K. Watanabe

    XPS Surface Analysis of Multi-walled Carbon Nanotubes Exposed to Nitrogen/Carbon Dioxide Plasma

Plasma Diagnostics and Monitoring Systems

  • ‡P-16 M. K. T. Mo

    Simultaneous measurements of F, O and H ground state atom density in an industry-grade etching plasma

  • †P-17 T. Nakano

    Study on Energy Carriers’ contribution of Atmospheric Pressure Plasma Jet

  • †P-19 Y. Kihira

    Investigation of Effects on curling probe to be embedded into an electrode

  • ‡P-20 H. Kato

    Quantitative evaluation of ion composition in Ar/C4F8/O2 pulsed capacitively-coupled plasma based on electron impact ionization rates

  • †P-21 S. Lee

    Virtual Metrology using Multi-channel Optical Emission Spectroscopy for Etching Uniformity Monitoring

  • ‡P-22 S. Matsumoto

    Effect of pulse length on high-power pulsed magnetron sputtering using carbon target

Computational Approaches (Modeling, Simulation, Machine Learning, AI, Informatics, DX) for Dry Process

  • †P-23 N. A. Mauchamp

    Molecular Dynamics simulations of reactive ion etching of tungsten (W) by energetic fluorocarbon ions

  • ‡P-24 J. H. Shin

    A two-dimensional particle-in-cell simulation of electron heating by the material surface reactions in Ar capacitively coupled plasmas

  • †P-25 Y. Shimada

    Evaluation method of plasma fluctuation using stochastic model

  • ‡P-26 H. Shen

    Efficiency Improvement on Physical Model Establishment for Dry Etch Simulation by Using Machine Learning

  • †P-27 S. Kato

    Numerical Analysis for Curling Probe Antenna

  • ‡P-28 H.-C. Tsai

    Parallel 2-D Axisymmetric Fluid Model of Dual Radio-Frequency Argon Capacitively Coupled Plasma Discharge

  • †P-29 J. H. Youn

    Enhanced Temperature Uniformity of Electrostatic Chuck: Ceramic Surface Contact Ratio

  • ‡P-30 S. Kawaguchi

    Physics-informed neural networks for calculating time-periodic EVDF under RF electric fields

  • †P-31 K. Kamataki

    Combining machine learning of classification and regression models for predicting high quality amorphous ITO films fabricated by RF plasma sputtering

Plasma Generation (Equipment/Source)

  • ‡P-32 Y. Ohtsu

    Production of high-density hydrogen plasma by RF magnetized hollow cathode discharge with magnet

  • †P-33 K. Imanaka

    Atmospheric pressure helium microplasmas using micro hollow array electrode for polymer surface modification

  • ‡P-34 K. Takahashi

    Observation of argon plasma driven by 150 kHz band high power burst pulse voltage

Deposition Technologies (CVD / PVD)

  • †P-35 M. Murugesh

    Robustness of amorphous carbon shell against melting of tin core of core-shell nanoparticle synthesized using dusty plasma

  • ‡P-36 W. Poonthong

    Development of Ar + O2 + Zn Powder Mixing Gas at Low-pressure High-frequency Plasma Chemical Vapor Deposition Process System

  • †P-37 T. Kimura

    Vanadium oxide films synthesized via reactive HiPIMS combined with multi pulse magnetron sputtering

  • ‡P-38 H. Kawasaki

    Relation between crystallinity of the films and solid density of the target by sputtering depotion with mixture powder targets

  • †P-39 S. Watanabe

    High-Speed Deposition of Ta-C Film by DC Linear Filtered Arc Deposition Employing Coiled Anode

  • ‡P-40 H. Kunieda

    Deposition of diamond-like carbon using unipolar-double-pulse high-power pulsed magnetron sputtering

  • †P-41 Y. Miyaji

    Photocatalytic Characteristics of TiO2/Au/TiO2/Au Stacked Nanostructure Induced by Ultraviolet and Visible light Irradiation

  • ‡P-42 T. Ohshima

    Preparation of nickel nitride thin films using ammonia, nitrogen and nitric oxide gases by PLD method

  • †P-43 Y. Saitoi

    Deposition of tin oxide film using high-power pulsed magnetron sputtering

  • ‡P-44 K. Nagahashi

    Low-temperature deposition of crystalline zinc oxide film using high-power pulsed magnetron sputtering

  • †P-45 K. Takeda

    Effect of rare gas on deposition of diamond-like carbon film using high-power pulsed magnetron sputtering

  • ‡P-46 K. Takenaka

    Fabrication of amorphous gallium oxide thin film transistors by plasma-assisted reactive processes

  • †P-47 K. Ishigure

    Carbon nitride synthesis on carbon nanowalls using thermal CVD with melamine gas

  • ‡P-48 K. Ishikawa

    Deposition of nitrogen-doped diamond by Radical Injection-CVD

  • †P-49 N. Sato

    OES Identification of ZnO molecule in ICP for ZnO-TCF synthesis

  • ‡P-50 S. Ono

    Comparative study of deposition characteristics of different precursors for plasma CVD

Atomic Layer Processes (ALD/ALE)

  • †P-51 H. Ha

    Plasma Atomic Layer Etching for Titanium Nitride with Surface Fluorination using NF3 Plasma and Ar Ion Bombardment

  • ‡P-52 E. Kang

    Mechanism of an atomic layer etching process using alternative gas radicals

  • †P-53 S. Son

    A study on the atomic layer etching process using liquid fluorocarbon gas

  • ‡P-54 D. Hong

    Anisotropic Atomic Layer Etching of SiO2 and Si3N4 using Low Global Warming C4H3F7O Isomers

Dry process for Green Transformation:GX (Energy saving technology, Alternative gas, 3D-IC/Packaging)

  • †P-55 S. Endo

    VUV Absorption Characteristics of Epoxy Resin in Surface Modification using Excimer Lamp

  • ‡P-56 T. Matsumoto

    Photocatalytic Activity Enhancement of Titanium Dioxide Nanoparticles via High-Pressure Annealing with Polyethylene Glycol

  • †P-57 A. Ichimura

    Photocatalytic Activity of g-C3N4 Nanosheets Grown by High-Pressure Annealing

Plasma Processes for New Material Devices (MRAM, Power, Organic, III-V, 2D)

  • ‡P-58 M. Sasaki

    Isolated Voltage Sensor Using Tuning Fork Resonator

Plasma Processes for Biological and Medical application, MEMS

  • †P-59 S. Kumagai

    Analysis of plasma exposure effects on C. Elegans

  • ‡P-60 H. Okino

    Development of microperfusion system for stable and promoted cell growth

  • †P-61 K. Koga

    Quantitative Analysis of Electric Field Intensity Generated by Scalable Dielectric Barrier Discharge Electrodes for Irradiating to Plant Seeds

  • ‡P-62 J. Kagami

    Evaluation of glucose oxidase on carbon nanowalls

  • †P-63 H. Kurita

    Investigation of intracellular reactive species generated by cold atmospheric pressure plasma irradiation

Atmospheric Pressure Plasma and Liquid Plasma

  • ‡P-64 K. Ohashi

    Increased Polyphenol Content of Harvested Onions Irradiated with Low-Temperature Air Plasma Jet at Quasi-Atmospheric Pressure

  • †P-65 Y. Makino

    Bacterial Inactivation of Pt-doped Rutile TiO2 Nanoparticles Annealed with Low-Temperature O2 Plasma

  • ‡P-66 A. Kajino

    Atmospheric pressure hybrid plasma jet with two types of discharge system

New Dry Process Concepts

  • †P-67 A. Shimizu

    Comparison of Vacuum Ultraviolet Light Irradiation and Plasma Treatment as Pretreatment of Direct Copper Seed Layer on Cycloolefin Polymer

  • ‡P-68 Y. Aihara

    One-step formation of ZrN on surface of carbon fine particles for membrane electrode assembly

  • †P-69 K. Sakuta

    Temperature dependence on metal etching using reactive gas adsorption and GCIB

  • ‡P-70 H. Tanaka

    Surface modification and etching of metal films by neutral cluster beam irradiation